Ngwaahịa

SPC5604PEF1MLQ6 (Ihe nlele ụgbọ ala izizi)

Nkọwa dị mkpirikpi:

Nọmba akụkụ Boyad: 568-14148-ND

emeputa:NXP USA, Inc.

Nọmba onye nrụpụta: SPC5604PEF1MLQ6

kọwapụta: IC MCU 32BIT 512KB FLASH 144LQFP

Oge nnyefe ọkọlọtọ ụlọ ọrụ izizi: izu 52

Nkọwa zuru ezu:e200z0h usoro microcontroller IC 32-bit single core 64MHz 512KB (512K x 8) Flash 144-LQFP (20×20)

Nọmba Ime Ndị Ahịa

Nkọwapụta:Nkọwapụta


Nkọwa ngwaahịa

Mkpado ngwaahịa

Njirimara ngwaahịa:

Ụdị Kọwaa
udi Sekit jikọtara ọnụ (IC) agbakwunyere - Microcontrollers
emeputa NXP USA, Inc.
usoro MPC56xx Qorivva
ngwugwu tray
ngwaahịa ọnọdụ dị na ngwaahịa
isi ihe nhazi e200z0h
Nkọwapụta kernel 32-bit otu isi
ọsọ 64MHz
Njikọta CANbus, FlexRay, LINbus, SPI, UART/USART
Akụkụ DMA, POR, PWM, WDT
Ọnụọgụ nke I/O 108
Ike nchekwa mmemme 512KB (512K x 8)
Ụdị ebe nchekwa mmemme flash
Ikike EEPROM 64k x8
RAM size 40k x8
Voltaji - Nnyefe ọkụ (Vcc/Vdd) 3V ~ 5.5V
ihe ntụgharị data A/D 30x10b
Ụdị Oscillator ime
Ọnọdụ okpomọkụ na-arụ ọrụ -40°C ~ 125°C (TA)
ụdị echichi Ụdị Ugwu elu
Ngwugwu/Mkpuchi 144-LQFP
Nkwakọ ngwaahịa ngwaahịa 144-LQFP (20x20)
Nọmba ngwaahịa bụ isi SPC5604

Nkewa gburugburu na mbupụ:

Àgwà Kọwaa
Ọnọdụ RoHS Dabara na nkọwapụta ROHS3
Ọkwa Mmetụta Umi (MSL) 3 (awa 168)
Ọkwa iru Ngwaahịa anaghị eru eru
Mpụnarịa 3A991A2
HTSUS 8542.31.0001

MPC5604P usoro ngọngọ nchịkọta:
Ọrụ ngọngọ
Analog-to-dijital converter (ADC) Multi-channel, 10-bit analog-to-dijital converter
Boot Help modul (BAM) Mgbochi ebe nchekwa na-agụ naanị nwere koodu VLE nke a na-eme dịka
ọnọdụ buut nke ngwaọrụ ahụ
modul ọgbọ elekere
(MC_CGM)
Na-enye mgbagha na njikwa chọrọ maka ọgbọ nke usoro na mpụta
elekere
Netwọk mpaghara njikwa (FlexCAN) na-akwado ụkpụrụ nkwukọrịta CAN ọkọlọtọ
Ngalaba na-akpalite Cross (CTU) na-eme ka mmekọrịta nke mgbanwe ADC na mmemme ngụ oge sitere na eMIOS.
ma ọ bụ site na PIT
Mgbanwe Crossbar (XBAR) na-akwado njikọ n'otu oge n'etiti ọdụ ụgbọ mmiri abụọ na ohu atọ
ọdụ ụgbọ mmiri;na-akwado obosara adreesị ụgbọ ala 32-bit yana obosara ụgbọ ala data 32-bit
Ihe nleba anya mgbapụta cyclic (CRC) CRC chesum generator
Oghere Usoro n'akụkụ interface
(DSPI)
Na-enye interface serial synchronous maka nkwurịta okwu na ngwaọrụ mpụga
Nweta ebe nchekwa ozugbo emelitere
(edMA)
Na-eme nnyefe data dị mgbagwoju anya site na ntinye aka dị ntakịrị site na onye nrụpụta ihe
site na "n" ọwa mmemme
Ngụ oge emelitere (eTimer) na-enye ngụkọ modul elu/ala nke mmemme emelitere
modul mmezi mperi
(ECSM)
Na-enye ọtụtụ ọrụ njikwa dị iche iche maka ngwaọrụ gụnyere
ozi mmemme-ahụ anya gbasara nhazi na ọkwa ngbanwe, nrụpụta
ndebanye aha ọkwa, njikwa edemede maka ịpụ ụdị ụra, yana atụmatụ nhọrọ
dị ka ozi na mperi ebe nchekwa nke koodu na-emezi njehie kọrọ
Oscillator mpụga (XOSC) na-enye elekere mmepụta ejiri dị ka ntinye ntinye maka FMPLL_0 ma ọ bụ dịka ntụnye aka.
Elekere maka modul akọwapụtara dabere na mkpa sistemụ
Ngalaba nchịkọta mmejọ (FCU) na-enye nchekwa na-arụ ọrụ na ngwaọrụ
Ebe nchekwa Flash Na-enye nchekwa na-adịghị agbanwe agbanwe maka koodu mmemme, ngbanwe na mgbanwe
Emegharịrị ugboro ugboro
loop akpọchiri agbachi (FMPLL)
Na-emepụta clocks usoro ọsọ ọsọ ma na-akwado ugboro ole mmemme
modulation
Onye njikwa nkwụsị (INTC) na-enye nhazi oge nkwụsị nke arịrịọ dabere na mkpa
Onye njikwa JTAG na-enye ụzọ iji nwalee ịrụ ọrụ mgbawa na njikọta ka ọ dị
doro anya na mgbagha sistemụ mgbe ọ na-anọghị na ọnọdụ nnwale
LINFlex njikwa na-ejikwa ọnụ ọgụgụ dị elu nke ozi LIN (Local Interconnect Network Protocol).
rụọ ọrụ nke ọma na ibu kacha nta na CPU
Modul ntinye ọnọdụ (MC_ME) na-enye usoro maka ijikwa ọnọdụ na ọnọdụ arụmọrụ ngwaọrụ
usoro mgbanwe na steeti arụ ọrụ niile;na-ejikwa ngalaba njikwa ike,
tọgharịa modul na elekere ọgbọ modul, na-ejide na
nhazi, njikwa na ndekọ ọkwa dị maka ngwa
Ngụ oge nkwụsịtụ oge (PIT) na-ewepụta nkwụsị oge na ihe mkpalite
Mkpịsị aka dị n'akụkụ (PBRIDGE) Interface n'etiti ụgbọ ala sistemu na akụkụ mgbawa.
Otu njikwa ọkụ (MC_PCU) na-ebelata oriri ike n'ozuzu site na ịpụpụ akụkụ nke ngwaọrụ ahụ
site na ọkụ ọkụ site na ngwaọrụ mgbanwe ọkụ;akụrụngwa akụrụngwa bụ
chịkọtara n'ime ngalaba a na-akpọ "ngalaba ike" nke PCU na-achịkwa


  • Nke gara aga:
  • Osote:

  • Hapụ Ozi Gị

    Ngwaahịa ndị emetụtara

    Hapụ Ozi Gị